Open Access   Article Go Back

Standby and Active Leakage current control and Insertion Power Network Synthesis

P. Chaithanya1 , P.P. Muralikrishna2

Section:Research Paper, Product Type: Journal Paper
Volume-2 , Issue-7 , Page no. 60-70, Jul-2014

Online published on Jul 30, 2014

Copyright © P. Chaithanya , P.P. Muralikrishna . This is an open access article distributed under the Creative Commons Attribution License, which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited.

View this paper at   Google Scholar | DPI Digital Library

How to Cite this Paper

  • IEEE Citation
  • MLA Citation
  • APA Citation
  • BibTex Citation
  • RIS Citation

IEEE Style Citation: P. Chaithanya , P.P. Muralikrishna, “Standby and Active Leakage current control and Insertion Power Network Synthesis,” International Journal of Computer Sciences and Engineering, Vol.2, Issue.7, pp.60-70, 2014.

MLA Style Citation: P. Chaithanya , P.P. Muralikrishna "Standby and Active Leakage current control and Insertion Power Network Synthesis." International Journal of Computer Sciences and Engineering 2.7 (2014): 60-70.

APA Style Citation: P. Chaithanya , P.P. Muralikrishna, (2014). Standby and Active Leakage current control and Insertion Power Network Synthesis. International Journal of Computer Sciences and Engineering, 2(7), 60-70.

BibTex Style Citation:
@article{Chaithanya_2014,
author = {P. Chaithanya , P.P. Muralikrishna},
title = {Standby and Active Leakage current control and Insertion Power Network Synthesis},
journal = {International Journal of Computer Sciences and Engineering},
issue_date = {7 2014},
volume = {2},
Issue = {7},
month = {7},
year = {2014},
issn = {2347-2693},
pages = {60-70},
url = {https://www.ijcseonline.org/full_paper_view.php?paper_id=209},
publisher = {IJCSE, Indore, INDIA},
}

RIS Style Citation:
TY - JOUR
UR - https://www.ijcseonline.org/full_paper_view.php?paper_id=209
TI - Standby and Active Leakage current control and Insertion Power Network Synthesis
T2 - International Journal of Computer Sciences and Engineering
AU - P. Chaithanya , P.P. Muralikrishna
PY - 2014
DA - 2014/07/30
PB - IJCSE, Indore, INDIA
SP - 60-70
IS - 7
VL - 2
SN - 2347-2693
ER -

VIEWS PDF XML
3432 3452 downloads 3558 downloads
  
  
           

Abstract

Leakage power has become a serious concern in nanometer CMOS technologies, and power-gating has shown to offer a viable solution to the problem with a small penalty in performance. This paper focuses on leakage power reduction through automatic insertion of sleep transistors for power-gating. In particular, we propose a novel, layout-aware methodology that facilitates sleep transistor insertion and virtual-ground routing on row-based layouts. We also introduce a clustering algorithm that is able to handle simultaneously timing and area constraints, and we extend it to the case of multi- sleep transistors to increase leakage savings. The results we have obtained on a set of benchmark circuits show that the leakage savings we can achieve are, by far, superior to those obtained using existing power-gating solutions and with much tighter timing and area constraints Leakage power is a major concern in sub-90-nm CMOS technology. The exponential increase in the leakage component of the total chip power can be attributed to threshold voltage scaling, which is essential to maintain high performance in active mode, since supply voltages are scaled. Numerous design techniques have been proposed to reduce standby leakage in digital circuits. Out of this rich set of solutions, power gating has proven to be a very effective approach to minimize standby leakage while keeping high speed in the active mode. It is based on the principle of adding devices, called sleep transistors in series to the pull-up and/or the pull-down of the logic gates, and turning them off when the circuit is idle, thereby decreasing the leakage component due to IDS sub-threshold currents. When an nMOS sleep transistor is used on the pull-down path, a SLEEP signal controls its active/standby mode.

Key-Words / Index Term

PNS, LCC, FGTI Techniques

References

[1] Y. Wang, H. Lin, H.Z. Yang, R. Luo, H. Wang,"Simultaneous Finegrain Sleep Transistor Placement and Sizing for Leakage Optimization," in Proc. of ISQED�06, 2006, pp. 723-728.
[2] G. Moore, �No exponential is forever: But forever can be delayed,� in IEEE ISSCC Dig. Tech. Papers, 2003,

pp. 20 - 23.
[3] D. Duarte, N. Vijaykrishnan, M. J. Irwin, and

M.Kandemir,�Formulation and validation of an energy dissipation model for the clock generation circuitry and distribution networks,� in Proc. Of VLSI Design, 2001,

pp. 248 - 253.
[4] J. Kao, S. Narendra, A. Chandrakasan, �Sub threshold Leakage modeling and reduction techniques�, in Proc. of ICCAD, 2002, pp 141 � 149.
[5] K. Roy, S. Mukhopadhay, H. Mahmoodi-Meimand, �Leakage Current Mechanisms and Leakage Reduction Techniques in Deep-Submicrometer CMOS Circuits�, in

Proc. of the IEEE, Vol. 91, No.2, Februray 2003 pp 305

� 327.
[6] S.Narendra et.al, �Forward body bias for microprocessors in 130-nm technology generation and beyond�, in IEEE JSSC, Vol. 38 , No. 5 ,May 2003 pp. 696 - 701.
[7] C.H. Kim, K. Roy, �Dynamic VTH scaling scheme for active leakage power reduction�, in Proc. of DATE

2002 pp.163 - 167.
[8] S. Mukhopadhyay et. al., �Gate Leakage Reduction for Scaled Devices Using Transistor Stacking�, in IEEE TVLSI, Vol. 11, No. 4,