Open Access   Article Go Back

Optimization of delay and temperature for improved design flow in 3D IC

Simi P. Thomas1 , Reshma Chandran2 , Neethan Elizabeth Abraham3 , Sunu Ann Thomas4

Section:Research Paper, Product Type: Journal Paper
Volume-4 , Issue-12 , Page no. 132-136, Dec-2016

Online published on Jan 02, 2016

Copyright © Simi P. Thomas, Reshma Chandran, Neethan Elizabeth Abraham, Sunu Ann Thomas . This is an open access article distributed under the Creative Commons Attribution License, which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited.

View this paper at   Google Scholar | DPI Digital Library

How to Cite this Paper

  • IEEE Citation
  • MLA Citation
  • APA Citation
  • BibTex Citation
  • RIS Citation

IEEE Style Citation: Simi P. Thomas, Reshma Chandran, Neethan Elizabeth Abraham, Sunu Ann Thomas, “Optimization of delay and temperature for improved design flow in 3D IC,” International Journal of Computer Sciences and Engineering, Vol.4, Issue.12, pp.132-136, 2016.

MLA Style Citation: Simi P. Thomas, Reshma Chandran, Neethan Elizabeth Abraham, Sunu Ann Thomas "Optimization of delay and temperature for improved design flow in 3D IC." International Journal of Computer Sciences and Engineering 4.12 (2016): 132-136.

APA Style Citation: Simi P. Thomas, Reshma Chandran, Neethan Elizabeth Abraham, Sunu Ann Thomas, (2016). Optimization of delay and temperature for improved design flow in 3D IC. International Journal of Computer Sciences and Engineering, 4(12), 132-136.

BibTex Style Citation:
@article{Thomas_2016,
author = {Simi P. Thomas, Reshma Chandran, Neethan Elizabeth Abraham, Sunu Ann Thomas},
title = {Optimization of delay and temperature for improved design flow in 3D IC},
journal = {International Journal of Computer Sciences and Engineering},
issue_date = {12 2016},
volume = {4},
Issue = {12},
month = {12},
year = {2016},
issn = {2347-2693},
pages = {132-136},
url = {https://www.ijcseonline.org/full_paper_view.php?paper_id=5479},
publisher = {IJCSE, Indore, INDIA},
}

RIS Style Citation:
TY - JOUR
UR - https://www.ijcseonline.org/full_paper_view.php?paper_id=5479
TI - Optimization of delay and temperature for improved design flow in 3D IC
T2 - International Journal of Computer Sciences and Engineering
AU - Simi P. Thomas, Reshma Chandran, Neethan Elizabeth Abraham, Sunu Ann Thomas
PY - 2016
DA - 2017/01/02
PB - IJCSE, Indore, INDIA
SP - 132-136
IS - 12
VL - 4
SN - 2347-2693
ER -

VIEWS PDF XML
1066 1093 downloads 1054 downloads
  
  
           

Abstract

Thermal issue is a critical challenge in 3D IC design. To eliminate hotspots, physical layouts are always adjusted by shifting or duplicating hot blocks. However, these modifications may degrade the packing area as well as interconnect distribution greatly. In this paper, we propose some novel thermal-aware incremental changes to optimize these multiple objectives including thermal issue in 3D ICs. Furthermore, to avoid random incremental modification, which may be inefficient and need long runtime to converge, here potential gain is modeled for each candidate incremental change. Based on the potential gain, a novel thermal optimization flow to intelligently choose the best incremental operation is presented. We distinguish the thermal-aware incremental changes in three different categories: migrating computation, growing unit and moving hotspot. Mixed integer linear programming (MILP) models are devised according to these different incremental changes. Experimental results show that migrating computation, growing unit and moving hotspot can reduce max on-chip temperature by 7%, 13% and 15% respectively on MCNC/GSRC benchmarks. Still, experimental results also show that the thermal optimization flow can reduce max on-chip temperature by 14% compared to an existing 3D floorplan tool CBA, and achieve better area and total wirelength improvement than individual operations do.

Key-Words / Index Term

3D IC technology, Temperature, Floor planning Problem

References

[1] J.Cong, J. Wei and Y. Zhang, “A Thermal-Driven Floorplanning Algorithm for 3D ICs”, in Procceedings of ICCAD, 2004
[2] W. L. Huang, G.M. Link, Y. Xie, N. Vijaykrishnan and M.J Irwin, “Interconnect and Thermal-Driven floorplanning for 3D microprocessors”, in Procceedings of ISQED, Mar. 2006
[3] Z.P. Gu, Y. Yang, J. Wang, R.P. Dick and L. Shang, “TAPHS: Thermal aware unified physical-level and high-level synthesis”, in Procceedings of ASP-DAC, 2006
[4] P. Zhou, Y. Ma, Z. Li, R.P. Dick, L. Shang, H. zhou, X.L. Hong and Q. Zhou, “3D-STAF: Scalable Temperature and Leakage Aware Floorplanning for Three Dimensional Integrated Circuits”, In procceedings of ICCAD, 2007
[5] C.H. Tsai and S.M.S Kang, “Standard cell placement for even on-chip thermal distribution”, in Procceedings of ISPD, 1999
[6] K. Skadron, M.R Stan, W. Huang, S. Velusamy, K. Sankaranarayanan D. Tarjan, “Temperature-aware Microarchitecture”, in Procceedings of ISCA, 2003.
[7] S. Heo, K. Barr and K. Asanovic, “Reducing power density through activity migration”, in Procceedings of ISLPED, Aug., 2003.
[8] T.D. Richardson and Y. Xie, “Evaluation of Thermal-aware design Techniques for Microprocessors”, in Proceedings of ASICON, 2005.
[9] J. Cong and M. Sarrafzadeh, “Incremental Physical Design”, in Procceedings of ISPD, 2000.
[10] J. Creshaw, M. Sarrafzadeh, P. Banerjee, P. Prabhakaran, “An incremental floorplanner”, in Proceedings of GLSVLSI,1999.
[11] S. Liao, M.A. Lopez and D. Mehta, “Constrained Polygon Transformations for Incremental Floorplanning”, ACM Trans. On DAES, Vol.6, No.3, July 2001.
[12] X. Tang, R. Tian and M.D.F Wong, “Optimal Redistribution of White Space for Wire length Minimization”, In procceedings of ASP-DAC, 2005
[13] X. Li, Y. Ma, X.L. Hong, S. Dong and J. Cong, “LP Based White Space Redistribution for Thermal Via Planning and Performance Optimization in 3D ICs”, in procceedings of ASP-DAC, 2008
[14] J. Cong and M. Sarrafzadeh, “Incremental Physical Design”, in Procceedings of ISPD, pp.84-92, may, 2000.
[15] H.Y. Jill, E.F.Y Young and R.L.S. Ching, “Block alignment in 3D floorplan using layered TCG”, in Procceedings of GLSVLSI, 2006.
[16] www.gnu.org/software/glpk/
[17] S. Sutanthavibul, E. Shragowitz and J.B. Rosen, “An Analytical Approach to Floorplan Design and Optimization”, in Procceedings of DAC, 1990.
[18] S.N. Adya, I.L. Markov, “Fixed-outline Floorplanning: Enabling Hierarchical Design”, IEEE Trans. On VLSI systems, Vol.11,No.1, pp.1120-1135, Dec.2003.
[19] P. Chen and E.S. Kuh, “Floorplan Sizing By linear Programming Approximation”, in Proceedings of DAC, 2000
[20] B. Lall, A. Ortega and H. Kabir, “Thermal Design Rules for Electronic Components on Conducting Boards in Passively Cooled Enclosures”, in Proceedings of inter-society Conference on Thermal Phenomerna, 1994